“Conocimientos Programación>Lenguajes De Programación

Un tutorial para Altera FPGA

2014/10/20
Altera proporciona el software Quartus II a las juntas FPGA programa , también conocidos como matrices de puertas programables en campo . FPGAs pueden demostrar los principios de ingeniería informática básica e instructores de ingeniería informática a menudo utilizan proyectos FPGA de Altera y para enseñar a los proyectos simples, tales como pantallas LED de siete segmentos . Software Quartus II de Altera le permite crear los diagramas de bloques lógicos y código VHDL . También puede utilizar los diagramas o el código para crear proyectos tales como contadores y otros tipos de circuitos lógicos . Software Instrucciones
1

Abierto de Altera Quartus II y crear un nuevo proyecto haciendo clic en " Archivo" en la barra de menú superior y haga clic en " Asistente para nuevo proyecto . " Haga clic en " Siguiente" y seleccione un directorio en el que se creará el proyecto. Asigne al proyecto y el diseño de una entidad de nombre y haga clic en " Finalizar" para crear el proyecto.
2

clic " Tareas" y seleccione "Device ". Seleccione la familia para el dispositivo FPGA y seleccione el nombre del dispositivo en el cuadro desplegable. Haga clic en " Aceptar " para asignar el dispositivo especificado .
3

Crear un archivo de diagrama de bloques , haga clic en " Archivo", luego haga clic en " Nuevo" y selecciona " Diagrama de bloques /Archivo Esquema ". Dé un nombre al archivo y haga clic en "OK " para guardar el archivo.

Crear un archivo VHDL haciendo clic en "Archivo" y "Nuevo" y seleccione "Archivo HDL Verilog . " Dar ese archivo un nombre y haga clic en "OK " para guardar . Agregue el código VHDL para su diseño.
4

Agregar símbolos lógicos en el fichero de diagrama de bloques para crear su diagrama esquemático. Haga clic en " Editar" y haga clic en "Insertar símbolo " para añadir diferentes tipos de símbolos .
5

Cambiar el nombre de la asignación de pines de los símbolos para que coincida con el hardware del FPGA. Haga clic en " Procesar ", seleccione " Inicio" y haga clic en "Inicio Análisis y elaboración " para tirar el proyecto junto con el diseño y asignar los pines. Haga clic en " Tareas" y haga clic en "botones " para abrir el cuadro de diálogo donde se puede asignar a cada pin del diseño con los valores de la placa .
6

Haga clic en " Start Compilación " y guardar los cambios en su diseño . Haga clic en "Herramientas" en la barra de menú superior y haga clic en " Programador " para enviar el diseño para el dispositivo FPGA. Conecte el dispositivo al ordenador mediante el cable USB y coloque el interruptor en el dispositivo en la posición " Run" . Encienda la tarjeta FPGA y haga clic en " Start " en el software Quartus II para descargar el programa en el dispositivo.

Lenguajes De Programación
Cómo convertir COBOL Copybook Copybook de RPG
Implementación en MATLAB del método de Monte Carlo
Cómo ejecutar un proceso en un PC remoto con Wmi
Cómo escribir aplicaciones Cocoa
Cómo escribir una secuencia de comandos de instalación
Etapas del diseño de interfaz gráfica de usuario
Cómo hacer un mapa de imagen CSS
Cómo comprobar los atributos de visibilidad Primaria
Conocimientos Informáticos © http://www.ordenador.online