“Conocimientos Hardware>Dispositivos de Entrada y Salida

Cómo bloquear un Pin en VHDL

2012/9/24
VHDL es sinónimo de este lenguaje de programación trabaja con la automatización de diseño electrónico para crear circuitos integrados, arreglos de compuertas y otros sistemas de " lenguaje de descripción de hardware VHSIC . " . Cuando se trabaja con un paquete de software que utiliza VHDL en el diseño de sistemas , puede importar archivos VHDL y luego fijar las clavijas del dispositivo que está analizando las señales particulares del dispositivo de prueba . Instrucciones
1

importar el archivo VHDL desea trabajar. Si cantan ispLEVER , vaya a "Source" y luego " Importar". Aparecerá un cuadro de diálogo pop-up , por lo que debe elegir la carpeta donde se encuentra el archivo deseado . Haga clic en "Abrir " y busca el archivo en su interior. Seleccione " VHDL Module" cuando aparezca el cuadro de diálogo "Importar Fuente Type" , y después haga clic en " Aceptar".
2

Ir al cuadro de diálogo que contiene las fuentes que está utilizando para su proyecto. En ispLEVER , esto será en " Fuentes de Project . " Find " Procesos para la fuente de corriente " y haga doble clic en " Editor de restricciones . "
3

Mueva su ratón "Loc " en la barra de herramientas en la ventana de restricción y haga clic en él . Cuando el cuadro de diálogo de lista de señales aparece, conecte los números de pin de sus tareas. Cuando haya terminado de señales de bloqueo a sus pines , puede hacer clic en "Aceptar " para guardar la configuración. Guarde todos estos cambios .

Dispositivos de Entrada y Salida
USB Audio Grabación Dispositivos
Cómo construir un cable de puerto serie BDM
CD Vs replicación . La duplicación de CD
Cómo conectar un micrófono a la computadora
¿Hay alguna forma de grabar conversaciones de voz Yahoo
Convertidores VGA a HDMI
Tarjetas de sonido que admiten audio capturado por los altavoces
Cómo convertir audio analógico a Bluetooth
Conocimientos Informáticos © http://www.ordenador.online